PandA-2024.02
DE1_pin_assignments.qsf
Go to the documentation of this file.
1 set_location_assignment PIN_A11 -to VGA_HS
2 set_location_assignment PIN_A13 -to done_port
3 set_location_assignment PIN_B13 -to return_port[0]
4 set_location_assignment PIN_A14 -to return_port[1]
5 set_location_assignment PIN_B14 -to return_port[2]
6 set_location_assignment PIN_A15 -to return_port[3]
7 set_location_assignment PIN_B15 -to GPIO_0[5]
8 set_location_assignment PIN_A16 -to GPIO_0[6]
9 set_location_assignment PIN_B16 -to GPIO_0[7]
10 set_location_assignment PIN_A17 -to GPIO_0[8]
11 set_location_assignment PIN_B17 -to GPIO_0[9]
12 set_location_assignment PIN_A18 -to GPIO_0[10]
13 set_location_assignment PIN_B18 -to GPIO_0[11]
14 set_location_assignment PIN_A19 -to GPIO_0[12]
15 set_location_assignment PIN_B19 -to GPIO_0[13]
16 set_location_assignment PIN_A20 -to GPIO_0[14]
17 set_location_assignment PIN_B20 -to GPIO_0[15]
18 set_location_assignment PIN_C21 -to GPIO_0[16]
19 set_location_assignment PIN_C22 -to GPIO_0[17]
20 set_location_assignment PIN_D21 -to GPIO_0[18]
21 set_location_assignment PIN_D22 -to GPIO_0[19]
22 set_location_assignment PIN_E21 -to GPIO_0[20]
23 set_location_assignment PIN_E22 -to GPIO_0[21]
24 set_location_assignment PIN_F21 -to GPIO_0[22]
25 set_location_assignment PIN_F22 -to GPIO_0[23]
26 set_location_assignment PIN_G21 -to GPIO_0[24]
27 set_location_assignment PIN_G22 -to GPIO_0[25]
28 set_location_assignment PIN_J21 -to GPIO_0[26]
29 set_location_assignment PIN_J22 -to GPIO_0[27]
30 set_location_assignment PIN_K21 -to GPIO_0[28]
31 set_location_assignment PIN_K22 -to GPIO_0[29]
32 set_location_assignment PIN_J19 -to GPIO_0[30]
33 set_location_assignment PIN_J20 -to GPIO_0[31]
34 set_location_assignment PIN_J18 -to GPIO_0[32]
35 set_location_assignment PIN_K20 -to GPIO_0[33]
36 set_location_assignment PIN_L19 -to GPIO_0[34]
37 set_location_assignment PIN_L18 -to GPIO_0[35]
38 set_location_assignment PIN_H12 -to GPIO_1[0]
39 set_location_assignment PIN_H13 -to GPIO_1[1]
40 set_location_assignment PIN_H14 -to GPIO_1[2]
41 set_location_assignment PIN_G15 -to GPIO_1[3]
42 set_location_assignment PIN_E14 -to GPIO_1[4]
43 set_location_assignment PIN_E15 -to GPIO_1[5]
44 set_location_assignment PIN_F15 -to GPIO_1[6]
45 set_location_assignment PIN_G16 -to GPIO_1[7]
46 set_location_assignment PIN_F12 -to GPIO_1[8]
47 set_location_assignment PIN_F13 -to GPIO_1[9]
48 set_location_assignment PIN_C14 -to GPIO_1[10]
49 set_location_assignment PIN_D14 -to GPIO_1[11]
50 set_location_assignment PIN_D15 -to GPIO_1[12]
51 set_location_assignment PIN_D16 -to GPIO_1[13]
52 set_location_assignment PIN_C17 -to GPIO_1[14]
53 set_location_assignment PIN_C18 -to GPIO_1[15]
54 set_location_assignment PIN_C19 -to GPIO_1[16]
55 set_location_assignment PIN_C20 -to GPIO_1[17]
56 set_location_assignment PIN_D19 -to GPIO_1[18]
57 set_location_assignment PIN_D20 -to GPIO_1[19]
58 set_location_assignment PIN_E20 -to GPIO_1[20]
59 set_location_assignment PIN_F20 -to GPIO_1[21]
60 set_location_assignment PIN_E19 -to GPIO_1[22]
61 set_location_assignment PIN_E18 -to GPIO_1[23]
62 set_location_assignment PIN_G20 -to GPIO_1[24]
63 set_location_assignment PIN_G18 -to GPIO_1[25]
64 set_location_assignment PIN_G17 -to GPIO_1[26]
65 set_location_assignment PIN_H17 -to GPIO_1[27]
66 set_location_assignment PIN_J15 -to GPIO_1[28]
67 set_location_assignment PIN_H18 -to GPIO_1[29]
68 set_location_assignment PIN_N22 -to GPIO_1[30]
69 set_location_assignment PIN_N21 -to GPIO_1[31]
70 set_location_assignment PIN_P15 -to GPIO_1[32]
71 set_location_assignment PIN_N15 -to GPIO_1[33]
72 set_location_assignment PIN_P17 -to GPIO_1[34]
73 set_location_assignment PIN_P18 -to GPIO_1[35]
74 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[0]
75 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[1]
76 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[2]
77 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[3]
78 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[4]
79 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[5]
80 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[6]
81 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[7]
82 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[8]
83 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[9]
84 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[10]
85 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[11]
86 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[12]
87 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[13]
88 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[14]
89 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[15]
90 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[16]
91 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[17]
92 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[18]
93 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[19]
94 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[20]
95 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[21]
96 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[22]
97 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[23]
98 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[24]
99 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[25]
100 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[26]
101 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[27]
102 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[28]
103 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
104 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[30]
105 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[31]
106 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[32]
107 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[33]
108 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[34]
109 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[35]
110 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0]
111 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1]
112 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2]
113 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3]
114 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4]
115 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5]
116 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6]
117 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7]
118 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8]
119 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9]
120 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10]
121 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11]
122 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12]
123 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13]
124 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14]
125 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15]
126 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16]
127 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17]
128 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18]
129 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19]
130 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20]
131 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21]
132 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22]
133 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23]
134 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24]
135 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25]
136 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26]
137 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27]
138 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28]
139 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29]
140 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30]
141 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31]
142 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[32]
143 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[33]
144 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[34]
145 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[35]
146 set_location_assignment PIN_M22 -to SW[2]
147 set_location_assignment PIN_V12 -to SW[3]
148 set_location_assignment PIN_W12 -to SW[4]
149 set_location_assignment PIN_U12 -to SW[5]
150 set_location_assignment PIN_U11 -to SW[6]
151 set_location_assignment PIN_M2 -to SW[7]
152 set_location_assignment PIN_M1 -to SW[8]
153 set_location_assignment PIN_L2 -to SW[9]
154 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
155 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
156 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
157 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
158 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
159 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
160 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
161 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
162 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
163 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
164 set_location_assignment PIN_J2 -to HEX0[0]
165 set_location_assignment PIN_J1 -to HEX0[1]
166 set_location_assignment PIN_H2 -to HEX0[2]
167 set_location_assignment PIN_H1 -to HEX0[3]
168 set_location_assignment PIN_F2 -to HEX0[4]
169 set_location_assignment PIN_F1 -to HEX0[5]
170 set_location_assignment PIN_E2 -to HEX0[6]
171 set_location_assignment PIN_E1 -to HEX1[0]
172 set_location_assignment PIN_H6 -to HEX1[1]
173 set_location_assignment PIN_H5 -to HEX1[2]
174 set_location_assignment PIN_H4 -to HEX1[3]
175 set_location_assignment PIN_G3 -to HEX1[4]
176 set_location_assignment PIN_D2 -to HEX1[5]
177 set_location_assignment PIN_D1 -to HEX1[6]
178 set_location_assignment PIN_G5 -to HEX2[0]
179 set_location_assignment PIN_G6 -to HEX2[1]
180 set_location_assignment PIN_C2 -to HEX2[2]
181 set_location_assignment PIN_C1 -to HEX2[3]
182 set_location_assignment PIN_E3 -to HEX2[4]
183 set_location_assignment PIN_E4 -to HEX2[5]
184 set_location_assignment PIN_D3 -to HEX2[6]
185 set_location_assignment PIN_F4 -to HEX3[0]
186 set_location_assignment PIN_D5 -to HEX3[1]
187 set_location_assignment PIN_D6 -to HEX3[2]
188 set_location_assignment PIN_J4 -to HEX3[3]
189 set_location_assignment PIN_L8 -to HEX3[4]
190 set_location_assignment PIN_F3 -to HEX3[5]
191 set_location_assignment PIN_D4 -to HEX3[6]
192 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0]
193 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1]
194 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2]
195 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3]
196 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4]
197 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5]
198 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6]
199 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0]
200 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1]
201 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2]
202 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3]
203 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4]
204 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5]
205 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6]
206 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0]
207 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1]
208 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2]
209 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3]
210 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4]
211 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5]
212 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6]
213 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0]
214 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1]
215 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2]
216 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3]
217 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4]
218 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5]
219 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6]
220 set_location_assignment PIN_R22 -to KEY[0]
221 set_location_assignment PIN_R21 -to KEY[1]
222 set_location_assignment PIN_T22 -to KEY[2]
223 set_location_assignment PIN_T21 -to KEY[3]
224 set_location_assignment PIN_R20 -to LEDR[0]
225 set_location_assignment PIN_R19 -to LEDR[1]
226 set_location_assignment PIN_U19 -to LEDR[2]
227 set_location_assignment PIN_Y19 -to LEDR[3]
228 set_location_assignment PIN_T18 -to LEDR[4]
229 set_location_assignment PIN_V19 -to LEDR[5]
230 set_location_assignment PIN_Y18 -to LEDR[6]
231 set_location_assignment PIN_U18 -to LEDR[7]
232 set_location_assignment PIN_R18 -to LEDR[8]
233 set_location_assignment PIN_R17 -to LEDR[9]
234 set_location_assignment PIN_U22 -to LEDG[0]
235 set_location_assignment PIN_U21 -to LEDG[1]
236 set_location_assignment PIN_V22 -to LEDG[2]
237 set_location_assignment PIN_V21 -to LEDG[3]
238 set_location_assignment PIN_W22 -to LEDG[4]
239 set_location_assignment PIN_W21 -to LEDG[5]
240 set_location_assignment PIN_Y22 -to LEDG[6]
241 set_location_assignment PIN_Y21 -to LEDG[7]
242 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
243 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
244 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[2]
245 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[3]
246 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0]
247 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1]
248 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2]
249 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3]
250 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4]
251 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5]
252 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6]
253 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7]
254 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8]
255 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9]
256 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[0]
257 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[1]
258 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[2]
259 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[3]
260 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[4]
261 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[5]
262 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[6]
263 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[7]
264 set_location_assignment PIN_D12 -to CLOCK_27[0]
265 set_location_assignment PIN_E12 -to CLOCK_27[1]
266 set_location_assignment PIN_B12 -to CLOCK_24[0]
267 set_location_assignment PIN_A12 -to CLOCK_24[1]
268 set_location_assignment PIN_M21 -to EXT_CLOCK
269 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_27[1]
270 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_24[0]
271 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_24[1]
272 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
273 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EXT_CLOCK
274 set_location_assignment PIN_H15 -to PS2_CLK
275 set_location_assignment PIN_J14 -to PS2_DAT
276 set_location_assignment PIN_F14 -to UART_RXD
277 set_location_assignment PIN_G12 -to UART_TXD
278 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK
279 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT
280 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD
281 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD
282 set_location_assignment PIN_E8 -to TDI
283 set_location_assignment PIN_D8 -to TCS
284 set_location_assignment PIN_C7 -to TCK
285 set_location_assignment PIN_D7 -to TDO
286 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TDI
287 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TCS
288 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TCK
289 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TDO
290 set_location_assignment PIN_D9 -to VGA_R[0]
291 set_location_assignment PIN_C9 -to VGA_R[1]
292 set_location_assignment PIN_A7 -to VGA_R[2]
293 set_location_assignment PIN_B7 -to VGA_R[3]
294 set_location_assignment PIN_B8 -to VGA_G[0]
295 set_location_assignment PIN_C10 -to VGA_G[1]
296 set_location_assignment PIN_B9 -to VGA_G[2]
297 set_location_assignment PIN_A8 -to VGA_G[3]
298 set_location_assignment PIN_A9 -to VGA_B[0]
299 set_location_assignment PIN_D11 -to VGA_B[1]
300 set_location_assignment PIN_A10 -to VGA_B[2]
301 set_location_assignment PIN_B10 -to VGA_B[3]
302 set_location_assignment PIN_B11 -to VGA_VS
303 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
304 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
305 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
306 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
307 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
308 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
309 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
310 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
311 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
312 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
313 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
314 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
315 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
316 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
317 set_location_assignment PIN_A3 -to I2C_SCLK
318 set_location_assignment PIN_B3 -to I2C_SDAT
319 set_location_assignment PIN_A6 -to AUD_ADCLRCK
320 set_location_assignment PIN_B6 -to AUD_ADCDAT
321 set_location_assignment PIN_A5 -to AUD_DACLRCK
322 set_location_assignment PIN_B5 -to AUD_DACDAT
323 set_location_assignment PIN_B4 -to AUD_XCK
324 set_location_assignment PIN_A4 -to AUD_BCLK
325 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK
326 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT
327 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCLRCK
328 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCDAT
329 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACLRCK
330 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACDAT
331 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_XCK
332 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_BCLK
333 set_location_assignment PIN_W4 -to DRAM_ADDR[0]
334 set_location_assignment PIN_W5 -to DRAM_ADDR[1]
335 set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
336 set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
337 set_location_assignment PIN_R6 -to DRAM_ADDR[4]
338 set_location_assignment PIN_R5 -to DRAM_ADDR[5]
339 set_location_assignment PIN_P6 -to DRAM_ADDR[6]
340 set_location_assignment PIN_P5 -to DRAM_ADDR[7]
341 set_location_assignment PIN_P3 -to DRAM_ADDR[8]
342 set_location_assignment PIN_N4 -to DRAM_ADDR[9]
343 set_location_assignment PIN_W3 -to DRAM_ADDR[10]
344 set_location_assignment PIN_N6 -to DRAM_ADDR[11]
345 set_location_assignment PIN_U3 -to DRAM_BA_0
346 set_location_assignment PIN_V4 -to DRAM_BA_1
347 set_location_assignment PIN_T3 -to DRAM_CAS_N
348 set_location_assignment PIN_N3 -to DRAM_CKE
349 set_location_assignment PIN_U4 -to DRAM_CLK
350 set_location_assignment PIN_T6 -to DRAM_CS_N
351 set_location_assignment PIN_U1 -to DRAM_DQ[0]
352 set_location_assignment PIN_U2 -to DRAM_DQ[1]
353 set_location_assignment PIN_V1 -to DRAM_DQ[2]
354 set_location_assignment PIN_V2 -to DRAM_DQ[3]
355 set_location_assignment PIN_W1 -to DRAM_DQ[4]
356 set_location_assignment PIN_W2 -to DRAM_DQ[5]
357 set_location_assignment PIN_Y1 -to DRAM_DQ[6]
358 set_location_assignment PIN_Y2 -to DRAM_DQ[7]
359 set_location_assignment PIN_N1 -to DRAM_DQ[8]
360 set_location_assignment PIN_N2 -to DRAM_DQ[9]
361 set_location_assignment PIN_P1 -to DRAM_DQ[10]
362 set_location_assignment PIN_P2 -to DRAM_DQ[11]
363 set_location_assignment PIN_R1 -to DRAM_DQ[12]
364 set_location_assignment PIN_R2 -to DRAM_DQ[13]
365 set_location_assignment PIN_T1 -to DRAM_DQ[14]
366 set_location_assignment PIN_T2 -to DRAM_DQ[15]
367 set_location_assignment PIN_R7 -to DRAM_LDQM
368 set_location_assignment PIN_T5 -to DRAM_RAS_N
369 set_location_assignment PIN_M5 -to DRAM_UDQM
370 set_location_assignment PIN_R8 -to DRAM_WE_N
371 set_location_assignment PIN_AB20 -to FL_ADDR[0]
372 set_location_assignment PIN_AA14 -to FL_ADDR[1]
373 set_location_assignment PIN_Y16 -to FL_ADDR[2]
374 set_location_assignment PIN_R15 -to FL_ADDR[3]
375 set_location_assignment PIN_T15 -to FL_ADDR[4]
376 set_location_assignment PIN_U15 -to FL_ADDR[5]
377 set_location_assignment PIN_V15 -to FL_ADDR[6]
378 set_location_assignment PIN_W15 -to FL_ADDR[7]
379 set_location_assignment PIN_R14 -to FL_ADDR[8]
380 set_location_assignment PIN_Y13 -to FL_ADDR[9]
381 set_location_assignment PIN_R12 -to FL_ADDR[10]
382 set_location_assignment PIN_T12 -to FL_ADDR[11]
383 set_location_assignment PIN_AB14 -to FL_ADDR[12]
384 set_location_assignment PIN_AA13 -to FL_ADDR[13]
385 set_location_assignment PIN_AB13 -to FL_ADDR[14]
386 set_location_assignment PIN_AA12 -to FL_ADDR[15]
387 set_location_assignment PIN_AB12 -to FL_ADDR[16]
388 set_location_assignment PIN_AA20 -to FL_ADDR[17]
389 set_location_assignment PIN_U14 -to FL_ADDR[18]
390 set_location_assignment PIN_V14 -to FL_ADDR[19]
391 set_location_assignment PIN_U13 -to FL_ADDR[20]
392 set_location_assignment PIN_R13 -to FL_ADDR[21]
393 set_location_assignment PIN_AB16 -to FL_DQ[0]
394 set_location_assignment PIN_AA16 -to FL_DQ[1]
395 set_location_assignment PIN_AB17 -to FL_DQ[2]
396 set_location_assignment PIN_AA17 -to FL_DQ[3]
397 set_location_assignment PIN_AB18 -to FL_DQ[4]
398 set_location_assignment PIN_AA18 -to FL_DQ[5]
399 set_location_assignment PIN_AB19 -to FL_DQ[6]
400 set_location_assignment PIN_AA19 -to FL_DQ[7]
401 set_location_assignment PIN_AA15 -to FL_OE_N
402 set_location_assignment PIN_W14 -to FL_RST_N
403 set_location_assignment PIN_Y14 -to FL_WE_N
404 set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
405 set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
406 set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
407 set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
408 set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
409 set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
410 set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
411 set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
412 set_location_assignment PIN_V11 -to SRAM_ADDR[8]
413 set_location_assignment PIN_W11 -to SRAM_ADDR[9]
414 set_location_assignment PIN_R11 -to SRAM_ADDR[10]
415 set_location_assignment PIN_T11 -to SRAM_ADDR[11]
416 set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
417 set_location_assignment PIN_U10 -to SRAM_ADDR[13]
418 set_location_assignment PIN_R10 -to SRAM_ADDR[14]
419 set_location_assignment PIN_T7 -to SRAM_ADDR[15]
420 set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
421 set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
422 set_location_assignment PIN_AB5 -to SRAM_CE_N
423 set_location_assignment PIN_AA6 -to SRAM_DQ[0]
424 set_location_assignment PIN_AB6 -to SRAM_DQ[1]
425 set_location_assignment PIN_AA7 -to SRAM_DQ[2]
426 set_location_assignment PIN_AB7 -to SRAM_DQ[3]
427 set_location_assignment PIN_AA8 -to SRAM_DQ[4]
428 set_location_assignment PIN_AB8 -to SRAM_DQ[5]
429 set_location_assignment PIN_AA9 -to SRAM_DQ[6]
430 set_location_assignment PIN_AB9 -to SRAM_DQ[7]
431 set_location_assignment PIN_Y9 -to SRAM_DQ[8]
432 set_location_assignment PIN_W9 -to SRAM_DQ[9]
433 set_location_assignment PIN_V9 -to SRAM_DQ[10]
434 set_location_assignment PIN_U9 -to SRAM_DQ[11]
435 set_location_assignment PIN_R9 -to SRAM_DQ[12]
436 set_location_assignment PIN_W8 -to SRAM_DQ[13]
437 set_location_assignment PIN_V8 -to SRAM_DQ[14]
438 set_location_assignment PIN_U8 -to SRAM_DQ[15]
439 set_location_assignment PIN_Y7 -to SRAM_LB_N
440 set_location_assignment PIN_T8 -to SRAM_OE_N
441 set_location_assignment PIN_W7 -to SRAM_UB_N
442 set_location_assignment PIN_AA10 -to SRAM_WE_N
443 set_location_assignment PIN_L1 -to clock
444 set_location_assignment PIN_L22 -to reset
445 set_location_assignment PIN_L21 -to start_port
446 

Generated on Mon Feb 12 2024 13:02:50 for PandA-2024.02 by doxygen 1.8.13