PandA-2024.02
vivado_flow_wrapper.cpp
Go to the documentation of this file.
1 /*
2  *
3  * _/_/_/ _/_/ _/ _/ _/_/_/ _/_/
4  * _/ _/ _/ _/ _/_/ _/ _/ _/ _/ _/
5  * _/_/_/ _/_/_/_/ _/ _/_/ _/ _/ _/_/_/_/
6  * _/ _/ _/ _/ _/ _/ _/ _/ _/
7  * _/ _/ _/ _/ _/ _/_/_/ _/ _/
8  *
9  * ***********************************************
10  * PandA Project
11  * URL: http://panda.dei.polimi.it
12  * Politecnico di Milano - DEIB
13  * System Architectures Group
14  * ***********************************************
15  * Copyright (C) 2004-2024 Politecnico di Milano
16  *
17  * This file is part of the PandA framework.
18  *
19  * The PandA framework is free software; you can redistribute it and/or modify
20  * it under the terms of the GNU General Public License as published by
21  * the Free Software Foundation; either version 3 of the License, or
22  * (at your option) any later version.
23  *
24  * This program is distributed in the hope that it will be useful,
25  * but WITHOUT ANY WARRANTY; without even the implied warranty of
26  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
27  * GNU General Public License for more details.
28  *
29  * You should have received a copy of the GNU General Public License
30  * along with this program. If not, see <http://www.gnu.org/licenses/>.
31  *
32  */
44 #include "vivado_flow_wrapper.hpp"
46 
47 #include "DesignParameters.hpp"
48 #include "Parameter.hpp"
49 #include "ToolManager.hpp"
50 #include "XilinxBackendFlow.hpp"
51 #include "dbgPrintHelper.hpp" // for DEBUG_LEVEL_
52 #include "xml_script_command.hpp"
53 
54 #define PARAM_vivado_outdir "vivado_outdir"
55 
56 // constructor
57 vivado_flow_wrapper::vivado_flow_wrapper(const ParameterConstRef& _Param, const std::string& _output_dir,
58  const generic_deviceRef& _device)
59  : XilinxWrapper(_Param, VIVADO_FLOW_TOOL_EXEC, _device, _output_dir, VIVADO_FLOW_TOOL_ID)
60 {
61  PRINT_DBG_MEX(DEBUG_LEVEL_PEDANTIC, debug_level, "Creating the VIVADO_FLOW wrapper...");
62 }
63 
64 // destructor
66 
68 {
69  std::string top_id = dp->component_name;
71  dp->parameter_values[PARAM_vivado_report] = output_dir + "/" + top_id + "_report.xml";
72  dp->parameter_values[PARAM_vivado_timing_report] = output_dir + "/post_route_timing_summary.rpt";
73  create_sdc(dp);
74 }
75 
77 {
78  std::string clock = dp->parameter_values[PARAM_clk_name];
79 
80  std::string sdc_filename = output_dir + "/" + dp->component_name + ".sdc";
81  std::ofstream sdc_file(sdc_filename.c_str());
82  if(!static_cast<bool>(std::stoi(dp->parameter_values[PARAM_is_combinational])))
83  {
84  sdc_file << "create_clock -period " + dp->parameter_values[PARAM_clk_period] + " -name " + clock +
85  " [get_ports " + clock + "]\n";
86  if((static_cast<bool>(std::stoi(dp->parameter_values[PARAM_connect_iob])) ||
87  (Param->IsParameter("profile-top") && Param->GetParameter<int>("profile-top") == 1)) &&
88  !Param->isOption(OPT_backend_sdc_extensions))
89  {
90  sdc_file << "set_max_delay " + dp->parameter_values[PARAM_clk_period] +
91  " -from [all_inputs] -to [all_outputs]\n";
92  sdc_file << "set_max_delay " + dp->parameter_values[PARAM_clk_period] +
93  " -from [all_inputs] -to [all_registers]\n";
94  sdc_file << "set_max_delay " + dp->parameter_values[PARAM_clk_period] +
95  " -from [all_registers] -to [all_outputs]\n";
96  }
97  }
98  else
99  {
100  sdc_file << "set_max_delay " + dp->parameter_values[PARAM_clk_period] + " -from [all_inputs] -to [all_outputs]\n";
101  }
102 
103  sdc_file.close();
104  dp->parameter_values[PARAM_sdc_file] = sdc_filename;
105 }
106 
108 {
109  std::ostringstream s;
110  s << "ulimit -s 131072; " << get_tool_exec() << " -mode batch -nojournal -nolog -source " << script_name;
111  for(const auto& option : xml_tool_options)
112  {
113  if(option->checkCondition(dp))
114  {
115  std::string value = toString(option, dp);
116  replace_parameters(dp, value);
117  s << " " << value;
118  }
119  }
120  s << std::endl;
121  return s.str();
122 }
#define PARAM_vivado_report
const ParameterConstRef Param
class containing all the parameters
File containing functions and utilities to support the printing of debug messagges.
#define PRINT_DBG_MEX(dbgLevel, curDbgLevel, mex)
We are producing a debug version of the program, so the message is printed;.
vivado_flow_wrapper(const ParameterConstRef &Param, const std::string &_output_dir, const generic_deviceRef &_device)
Constructor.
#define DEBUG_LEVEL_PEDANTIC
very verbose debugging print is performed.
#define PARAM_sdc_file
~vivado_flow_wrapper() override
Destructor.
int debug_level
debug level of the class
Wrapper to invoke vivado_flow tool by XILINX.
std::string output_dir
the output directory
void EvaluateVariables(const DesignParametersRef dp) override
Evaluates the design variables.
Wrapper to implement a synthesis tools by Xilinx.
map_t parameter_values
Map between the name of the parameter and the corresponding string-based value.
void assign(const std::string &name, const std::string &value, bool checkExisting)
Assigns a value to a saved parameter.
This file contains the definition of the parameters for the synthesis tools.
std::string script_name
name of the script
std::string get_command_line(const DesignParametersRef &dp) const override
Returns the proper command line.
void create_sdc(const DesignParametersRef &dp)
void replace_parameters(const DesignParametersRef &dp, std::string &script) const
Replaces occurrences of parameters inside a script.
std::vector< xml_parameter_tRef > xml_tool_options
#define PARAM_vivado_outdir
Header include.
#define PARAM_vivado_timing_report
Classes for handling configuration files.
Class to manage a wrapped tool.
std::string component_name
Name of the component.
#define VIVADO_FLOW_TOOL_EXEC
Template borrowed from the ANTLR library by Terence Parr (http://www.jGuru.com - Software rights: htt...
Definition: refcount.hpp:94
virtual std::string get_tool_exec() const
Returns the name of the tool executable.
#define PARAM_connect_iob
this class is used to manage the command-line or XML options.
#define PARAM_clk_period
#define VIVADO_FLOW_TOOL_ID
#define PARAM_clk_name
std::string toString(const xml_script_node_tRef node, const DesignParametersRef dp) const override
Returns the string-based representation of the XML element.
#define PARAM_is_combinational

Generated on Mon Feb 12 2024 13:02:56 for PandA-2024.02 by doxygen 1.8.13