PandA-2024.02
TestbenchValidModuleGenerator.cpp
Go to the documentation of this file.
1 /*
2  *
3  * _/_/_/ _/_/ _/ _/ _/_/_/ _/_/
4  * _/ _/ _/ _/ _/_/ _/ _/ _/ _/ _/
5  * _/_/_/ _/_/_/_/ _/ _/_/ _/ _/ _/_/_/_/
6  * _/ _/ _/ _/ _/ _/ _/ _/ _/
7  * _/ _/ _/ _/ _/ _/_/_/ _/ _/
8  *
9  * ***********************************************
10  * PandA Project
11  * URL: http://panda.dei.polimi.it
12  * Politecnico di Milano - DEIB
13  * System Architectures Group
14  * ***********************************************
15  * Copyright (C) 2023-2024 Politecnico di Milano
16  *
17  * This file is part of the PandA framework.
18  *
19  * The PandA framework is free software; you can redistribute it and/or modify
20  * it under the terms of the GNU General Public License as published by
21  * the Free Software Foundation; either version 3 of the License, or
22  * (at your option) any later version.
23  *
24  * This program is distributed in the hope that it will be useful,
25  * but WITHOUT ANY WARRANTY; without even the implied warranty of
26  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
27  * GNU General Public License for more details.
28  *
29  * You should have received a copy of the GNU General Public License
30  * along with this program. If not, see <http://www.gnu.org/licenses/>.
31  *
32  */
45 
46 #include "behavioral_helper.hpp"
47 #include "function_behavior.hpp"
48 #include "hls_manager.hpp"
49 #include "language_writer.hpp"
50 #include "structural_manager.hpp"
51 #include "utility.hpp"
52 
54 {
55 }
56 
58  unsigned int function_id, vertex /* op_v */,
59  const HDLWriter_Language language,
60  const std::vector<ModuleGenerator::parameter>& /* _p */,
61  const std::vector<ModuleGenerator::parameter>& /* _ports_in */,
62  const std::vector<ModuleGenerator::parameter>& /* _ports_out */,
63  const std::vector<ModuleGenerator::parameter>& /* _ports_inout */)
64 {
65  if(language != HDLWriter_Language::VERILOG)
66  {
67  THROW_UNREACHABLE("Unsupported output language");
68  return;
69  }
70 
71  const auto arg_name = mod_cir->get_id().substr(sizeof("if_valid_") - 1U, std::string::npos);
72 
73  const auto top_fname = HLSMgr->CGetFunctionBehavior(function_id)->CGetBehavioralHelper()->GetMangledFunctionName();
74  const auto& iface_attrs = HLSMgr->module_arch->GetArchitecture(top_fname)->ifaces.at(arg_name);
75  const auto if_dir = port_o::to_port_direction(iface_attrs.at(FunctionArchitecture::iface_direction));
76  const std::string in_suffix = if_dir == port_o::IO ? "_i" : "";
77  const std::string out_suffix = if_dir == port_o::IO ? "_o" : "";
78  std::string np_library = mod_cir->get_id() + " index";
79  std::vector<std::string> ip_components;
80  const auto add_port_parametric = [&](const std::string& suffix, port_o::port_direction dir, unsigned port_size) {
81  const auto port_name = arg_name + suffix;
82  structural_manager::add_port(port_name, dir, mod_cir,
84  np_library += " " + port_name;
85  };
86  out << "localparam BITSIZE_data=BITSIZE_" << arg_name << (in_suffix.size() ? in_suffix : out_suffix) << ";\n";
87  if(if_dir == port_o::IN)
88  {
89  out << "assign tb_done_port = 1'b1;\n\n";
90  }
91  if(if_dir == port_o::IN || if_dir == port_o::IO)
92  {
93  add_port_parametric(in_suffix, port_o::OUT, 1U);
94  add_port_parametric(in_suffix + "_vld", port_o::OUT, 0U);
95  ip_components.push_back("TestbenchFifoRead");
96  out << "TestbenchFifoRead #(.index(index),\n"
97  << " .BITSIZE_dout(BITSIZE_data)) fifo_read(.clock(clock),\n"
98  << " .setup_port(setup_port),\n"
99  << " .done_port(done_port),\n"
100  << " .empty_n(" << arg_name << in_suffix << "_vld),\n"
101  << " .read(0),\n"
102  << " .dout(" << arg_name << in_suffix << "));\n";
103  }
104  if(if_dir == port_o::OUT || if_dir == port_o::IO)
105  {
106  add_port_parametric(out_suffix, port_o::IN, 1U);
107  add_port_parametric(out_suffix + "_vld", port_o::IN, 0U);
108  ip_components.push_back("TestbenchFifoWrite");
109  out << "wire _full_n;\n\n"
110  << "assign tb_done_port = ~_full_n;\n\n"
111  << "TestbenchFifoWrite #(.index(index),\n"
112  << " .BITSIZE_din(BITSIZE_data)) fifo_write(.clock(clock),\n"
113  << " .setup_port(setup_port),\n"
114  << " .done_port(done_port),\n"
115  << " .full_n(_full_n),\n"
116  << " .write(" << arg_name << out_suffix << "_vld),\n"
117  << " .din(" << arg_name << out_suffix << "));\n";
118  }
121  container_to_string(ip_components, ","));
122 }
void InternalExec(std::ostream &out, structural_objectRef mod, unsigned int function_id, vertex op_v, const HDLWriter_Language language, const std::vector< ModuleGenerator::parameter > &_p, const std::vector< ModuleGenerator::parameter > &_ports_in, const std::vector< ModuleGenerator::parameter > &_ports_out, const std::vector< ModuleGenerator::parameter > &_ports_inout) final
Data structure representing the entire HLS information.
refcount< structural_type_descriptor > structural_type_descriptorRef
RefCount type definition of the structural_type_descriptor class structure.
Structure representing the most relevant information about the type of a structural object...
const std::string & get_id() const
Return the identifier associated with the structural_object.
HDLWriter_Language
port_direction
Enumerative type describing the direction of a port.
TestbenchValidModuleGenerator(const HLS_managerRef &HLSMgr)
#define THROW_UNREACHABLE(str_expr)
helper function used to specify that some points should never be reached
Definition: exceptions.hpp:292
static void add_NP_functionality(structural_objectRef cir, NP_functionality::NP_functionaly_type dt, std::string functionality_description)
Add a not-parsed functionality.
This class writes different HDL based descriptions (VHDL, Verilog, SystemC) starting from a structura...
static port_direction to_port_direction(const std::string &val)
Convert a string into the corresponding port_direction enumerative type.
static structural_objectRef add_port(const std::string &id, port_o::port_direction pdir, structural_objectRef owner, structural_type_descriptorRef type_descr, unsigned int treenode=0)
Create a new port.
boost::graph_traits< graph >::vertex_descriptor vertex
vertex definition.
Definition: graph.hpp:1303
This file collects some utility functions and macros.
Template borrowed from the ANTLR library by Terence Parr (http://www.jGuru.com - Software rights: htt...
Definition: refcount.hpp:94
std::string container_to_string(_InputIt first, _InputIt last, const std::string &separator, bool trim_empty=true)
Definition: utility.hpp:122
Class implementation of the structural_manager.
A brief description of the C++ Header File.

Generated on Mon Feb 12 2024 13:02:54 for PandA-2024.02 by doxygen 1.8.13