PandA-2024.02
Read_handshakeModuleGenerator.cpp
Go to the documentation of this file.
1 /*
2  *
3  * _/_/_/ _/_/ _/ _/ _/_/_/ _/_/
4  * _/ _/ _/ _/ _/_/ _/ _/ _/ _/ _/
5  * _/_/_/ _/_/_/_/ _/ _/_/ _/ _/ _/_/_/_/
6  * _/ _/ _/ _/ _/ _/ _/ _/ _/
7  * _/ _/ _/ _/ _/ _/_/_/ _/ _/
8  *
9  * ***********************************************
10  * PandA Project
11  * URL: http://panda.dei.polimi.it
12  * Politecnico di Milano - DEIB
13  * System Architectures Group
14  * ***********************************************
15  * Copyright (C) 2022-2024 Politecnico di Milano
16  *
17  * This file is part of the PandA framework.
18  *
19  * The PandA framework is free software; you can redistribute it and/or modify
20  * it under the terms of the GNU General Public License as published by
21  * the Free Software Foundation; either version 3 of the License, or
22  * (at your option) any later version.
23  *
24  * This program is distributed in the hope that it will be useful,
25  * but WITHOUT ANY WARRANTY; without even the implied warranty of
26  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
27  * GNU General Public License for more details.
28  *
29  * You should have received a copy of the GNU General Public License
30  * along with this program. If not, see <http://www.gnu.org/licenses/>.
31  *
32  */
48 
49 #include "behavioral_helper.hpp"
50 #include "call_graph_manager.hpp"
51 #include "constant_strings.hpp"
52 #include "function_behavior.hpp"
53 #include "hls_manager.hpp"
54 #include "language_writer.hpp"
55 #include "structural_objects.hpp"
56 
57 enum in_port
58 {
59  i_clock = 0,
66 };
67 
69 {
70  o_done = 0,
74 };
75 
77 {
78 }
79 
80 void Read_handshakeModuleGenerator::InternalExec(std::ostream& out, structural_objectRef mod, unsigned int function_id,
81  vertex /* op_v */, const HDLWriter_Language /* language */,
82  const std::vector<ModuleGenerator::parameter>& /* _p */,
83  const std::vector<ModuleGenerator::parameter>& _ports_in,
84  const std::vector<ModuleGenerator::parameter>& _ports_out,
85  const std::vector<ModuleGenerator::parameter>& /* _ports_inout */)
86 {
87  THROW_ASSERT(_ports_in.size() >= i_last, "");
88  THROW_ASSERT(_ports_out.size() >= o_last, "");
89 
90  const auto bundle_name = mod->get_id().substr(0, mod->get_id().find(STR_CST_interface_parameter_keyword));
91  const auto top_fid = HLSMgr->CGetCallGraphManager()->GetRootFunction(function_id);
92  const auto top_fname = HLSMgr->CGetFunctionBehavior(top_fid)->CGetBehavioralHelper()->GetMangledFunctionName();
93  const auto& iface_attrs = HLSMgr->module_arch->GetArchitecture(top_fname)->ifaces.at(bundle_name);
94 
95  if(iface_attrs.find(FunctionArchitecture::iface_register) != iface_attrs.end())
96  {
97  THROW_ERROR("Registered handshake interface not yet implemented.");
98  }
99  out << "reg started, started_0;\n"
100  << "reg done_0;\n";
101 
102  out << "always @(posedge clock 1RESET_EDGE)\n"
103  << "begin\n"
104  << " if (1RESET_VALUE)\n"
105  << " begin\n"
106  << " started <= 0;\n"
107  << " end\n"
108  << " else\n"
109  << " begin\n"
110  << " started <= started_0;\n"
111  << " end\n"
112  << "end\n\n";
113 
114  out << "always @(*)\n"
115  << "begin\n"
116  << " started_0 = (started | " << _ports_in[i_start].name << ") & ~" << _ports_in[i_vld].name << ";\n"
117  << " done_0 = (started | " << _ports_in[i_start].name << ") & " << _ports_in[i_vld].name << ";\n"
118  << "end\n\n";
119 
120  out << "assign " << _ports_out[o_out1].name << " = " << _ports_in[i_in3].name << ";\n";
121  out << "assign " << _ports_out[o_done].name << " = done_0;\n";
122  out << "assign " << _ports_out[o_ack].name << " = done_0;\n";
123 }
Data structure representing the entire HLS information.
#define STR_CST_interface_parameter_keyword
interface_parameter_keyword
const std::string & get_id() const
Return the identifier associated with the structural_object.
HDLWriter_Language
This class writes different HDL based descriptions (VHDL, Verilog, SystemC) starting from a structura...
boost::graph_traits< graph >::vertex_descriptor vertex
vertex definition.
Definition: graph.hpp:1303
#define THROW_ERROR(str_expr)
helper function used to throw an error in a standard way
Definition: exceptions.hpp:263
Read_handshakeModuleGenerator(const HLS_managerRef &HLSMgr)
This class describes all classes used to represent a structural object.
void InternalExec(std::ostream &out, structural_objectRef mod, unsigned int function_id, vertex op_v, const HDLWriter_Language language, const std::vector< ModuleGenerator::parameter > &_p, const std::vector< ModuleGenerator::parameter > &_ports_in, const std::vector< ModuleGenerator::parameter > &_ports_out, const std::vector< ModuleGenerator::parameter > &_ports_inout) final
Template borrowed from the ANTLR library by Terence Parr (http://www.jGuru.com - Software rights: htt...
Definition: refcount.hpp:94
constant strings
Wrapper to call graph.
A brief description of the C++ Header File.
#define THROW_ASSERT(cond, str_expr)
helper function used to check an assert and if needed to throw an error in a standard way ...
Definition: exceptions.hpp:289

Generated on Mon Feb 12 2024 13:02:54 for PandA-2024.02 by doxygen 1.8.13