PandA-2024.02
Write_noneModuleGenerator.cpp
Go to the documentation of this file.
1 /*
2  *
3  * _/_/_/ _/_/ _/ _/ _/_/_/ _/_/
4  * _/ _/ _/ _/ _/_/ _/ _/ _/ _/ _/
5  * _/_/_/ _/_/_/_/ _/ _/_/ _/ _/ _/_/_/_/
6  * _/ _/ _/ _/ _/ _/ _/ _/ _/
7  * _/ _/ _/ _/ _/ _/_/_/ _/ _/
8  *
9  * ***********************************************
10  * PandA Project
11  * URL: http://panda.dei.polimi.it
12  * Politecnico di Milano - DEIB
13  * System Architectures Group
14  * ***********************************************
15  * Copyright (C) 2022-2024 Politecnico di Milano
16  *
17  * This file is part of the PandA framework.
18  *
19  * The PandA framework is free software; you can redistribute it and/or modify
20  * it under the terms of the GNU General Public License as published by
21  * the Free Software Foundation; either version 3 of the License, or
22  * (at your option) any later version.
23  *
24  * This program is distributed in the hope that it will be useful,
25  * but WITHOUT ANY WARRANTY; without even the implied warranty of
26  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
27  * GNU General Public License for more details.
28  *
29  * You should have received a copy of the GNU General Public License
30  * along with this program. If not, see <http://www.gnu.org/licenses/>.
31  *
32  */
48 
49 #include "behavioral_helper.hpp"
50 #include "constant_strings.hpp"
51 #include "function_behavior.hpp"
52 #include "hls_manager.hpp"
53 #include "language_writer.hpp"
54 #include "structural_objects.hpp"
55 
56 enum in_port
57 {
58  i_clock = 0,
65 };
66 
68 {
69  o_out1 = 0,
71 };
72 
74 {
75 }
76 
77 void Write_noneModuleGenerator::InternalExec(std::ostream& out, structural_objectRef mod_cir, unsigned int function_id,
78  vertex /* op_v */, const HDLWriter_Language language,
79  const std::vector<ModuleGenerator::parameter>& /* _p */,
80  const std::vector<ModuleGenerator::parameter>& _ports_in,
81  const std::vector<ModuleGenerator::parameter>& _ports_out,
82  const std::vector<ModuleGenerator::parameter>& /* _ports_inout */)
83 {
84  THROW_ASSERT(_ports_in.size() >= i_last, "");
85  THROW_ASSERT(_ports_out.size() >= o_last, "");
86 
87  const auto bundle_name = mod_cir->get_id().substr(0, mod_cir->get_id().find(STR_CST_interface_parameter_keyword));
88  const auto top_bh = HLSMgr->CGetFunctionBehavior(function_id)->CGetBehavioralHelper();
89  const auto top_fname = top_bh->GetMangledFunctionName();
90  const auto& iface_attrs = HLSMgr->module_arch->GetArchitecture(top_fname)->ifaces.at(bundle_name);
91 
92  if(iface_attrs.find(FunctionArchitecture::iface_register) != iface_attrs.end())
93  {
94  if(language == HDLWriter_Language::VHDL)
95  {
96  out << "constant ones : std_logic_vector(\\" << _ports_out[o_out1].name << "\\'range) := (others => '1');\n";
97  out << "constant threezeros : std_logic_vector(2 downto 0) := (others => '0');\n";
98  out << "begin\n";
99  out << "process(clock,reset)\n";
100  out << " variable \\" << _ports_out[o_out1].name << "_0\\ : std_logic_vector("
101  << (_ports_out[o_out1].type_size - 1) << " downto 0);\n";
102  out << "begin\n";
103  out << " if (1RESET_VALUE) then\n";
104  out << " \\" << _ports_out[o_out1].name << "\\ <= (others => '0');\n";
105  out << " elsif (clock'event and clock='1') then\n";
106  out << " if(unsigned(" << _ports_in[i_start].name << ") /= 0 ) then\n";
107  out << " \\" << _ports_out[o_out1].name << "\\ <= std_logic_vector(resize(unsigned("
108  << _ports_in[i_in2].name << "), " << _ports_out[o_out1].type_size << "));\n";
109  out << " end if;\n";
110  out << " end if;\n";
111  out << "end process;\n";
112  }
113  else
114  {
115  out << "reg [" << (_ports_out[o_out1].type_size - 1) << ":0] " << _ports_out[o_out1].name << ";\n";
116 
117  out << "always @(posedge clock 1RESET_EDGE)\n";
118  out << "begin\n";
119  out << " if (1RESET_VALUE)\n";
120  out << " " << _ports_out[o_out1].name << " <= 0;\n";
121  out << " else if(" << _ports_in[i_start].name << ")\n";
122  out << " " << _ports_out[o_out1].name << " <= " << _ports_in[i_in2].name << ";\n";
123  out << "end\n";
124  }
125  }
126  else
127  {
128  if(language == HDLWriter_Language::VHDL)
129  {
130  out << "begin\n \\" << _ports_out[o_out1].name << "\\ <= std_logic_vector(resize(unsigned("
131  << _ports_in[i_in2].name << "), " << _ports_out[o_out1].type_size << "));\n";
132  }
133  else
134  {
135  out << "assign " << _ports_out[o_out1].name << " = " << _ports_in[i_in2].name << ";\n";
136  }
137  }
138 }
Data structure representing the entire HLS information.
#define STR_CST_interface_parameter_keyword
interface_parameter_keyword
const std::string & get_id() const
Return the identifier associated with the structural_object.
HDLWriter_Language
void InternalExec(std::ostream &out, structural_objectRef mod, unsigned int function_id, vertex op_v, const HDLWriter_Language language, const std::vector< ModuleGenerator::parameter > &_p, const std::vector< ModuleGenerator::parameter > &_ports_in, const std::vector< ModuleGenerator::parameter > &_ports_out, const std::vector< ModuleGenerator::parameter > &_ports_inout) final
This class writes different HDL based descriptions (VHDL, Verilog, SystemC) starting from a structura...
boost::graph_traits< graph >::vertex_descriptor vertex
vertex definition.
Definition: graph.hpp:1303
This class describes all classes used to represent a structural object.
Template borrowed from the ANTLR library by Terence Parr (http://www.jGuru.com - Software rights: htt...
Definition: refcount.hpp:94
constant strings
Write_noneModuleGenerator(const HLS_managerRef &HLSMgr)
A brief description of the C++ Header File.
#define THROW_ASSERT(cond, str_expr)
helper function used to check an assert and if needed to throw an error in a standard way ...
Definition: exceptions.hpp:289

Generated on Mon Feb 12 2024 13:02:54 for PandA-2024.02 by doxygen 1.8.13