PandA-2024.02
Write_acknowledgeModuleGenerator.cpp
Go to the documentation of this file.
1 /*
2  *
3  * _/_/_/ _/_/ _/ _/ _/_/_/ _/_/
4  * _/ _/ _/ _/ _/_/ _/ _/ _/ _/ _/
5  * _/_/_/ _/_/_/_/ _/ _/_/ _/ _/ _/_/_/_/
6  * _/ _/ _/ _/ _/ _/ _/ _/ _/
7  * _/ _/ _/ _/ _/ _/_/_/ _/ _/
8  *
9  * ***********************************************
10  * PandA Project
11  * URL: http://panda.dei.polimi.it
12  * Politecnico di Milano - DEIB
13  * System Architectures Group
14  * ***********************************************
15  * Copyright (C) 2022-2024 Politecnico di Milano
16  *
17  * This file is part of the PandA framework.
18  *
19  * The PandA framework is free software; you can redistribute it and/or modify
20  * it under the terms of the GNU General Public License as published by
21  * the Free Software Foundation; either version 3 of the License, or
22  * (at your option) any later version.
23  *
24  * This program is distributed in the hope that it will be useful,
25  * but WITHOUT ANY WARRANTY; without even the implied warranty of
26  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
27  * GNU General Public License for more details.
28  *
29  * You should have received a copy of the GNU General Public License
30  * along with this program. If not, see <http://www.gnu.org/licenses/>.
31  *
32  */
48 
49 #include "behavioral_helper.hpp"
50 #include "call_graph_manager.hpp"
51 #include "constant_strings.hpp"
52 #include "function_behavior.hpp"
53 #include "hls_manager.hpp"
54 #include "language_writer.hpp"
55 #include "structural_objects.hpp"
56 
57 enum in_port
58 {
59  i_clock = 0,
67 };
68 
70 {
71  o_done = 0,
74 };
75 
77 {
78 }
79 
81  unsigned int function_id, vertex /* op_v */,
82  const HDLWriter_Language language,
83  const std::vector<ModuleGenerator::parameter>& /* _p */,
84  const std::vector<ModuleGenerator::parameter>& _ports_in,
85  const std::vector<ModuleGenerator::parameter>& _ports_out,
86  const std::vector<ModuleGenerator::parameter>& /* _ports_inout */)
87 {
88  if(language != HDLWriter_Language::VERILOG)
89  {
90  THROW_UNREACHABLE("Unsupported output language");
91  return;
92  }
93 
94  THROW_ASSERT(_ports_in.size() >= i_last, "");
95  THROW_ASSERT(_ports_out.size() >= o_last, "");
96 
97  const auto bundle_name = mod->get_id().substr(0, mod->get_id().find(STR_CST_interface_parameter_keyword));
98  const auto top_fid = HLSMgr->CGetCallGraphManager()->GetRootFunction(function_id);
99  const auto top_fname = HLSMgr->CGetFunctionBehavior(top_fid)->CGetBehavioralHelper()->GetMangledFunctionName();
100  const auto& iface_attrs = HLSMgr->module_arch->GetArchitecture(top_fname)->ifaces.at(bundle_name);
101  const auto registered = iface_attrs.find(FunctionArchitecture::iface_register) != iface_attrs.end();
102 
103  if(registered)
104  {
105  out << "reg acked;\n";
106  out << "wire ack_next;\n";
107 
108  out << "always @(posedge clock 1RESET_EDGE)\n";
109  out << "begin\n";
110  out << " if (1RESET_VALUE)\n";
111  out << " begin\n";
112  out << " acked <= 0;\n";
113  out << " end\n";
114  out << " else\n";
115  out << " begin\n";
116  out << " acked <= ack_next;\n";
117  out << " end\n";
118  out << "end\n\n";
119 
120  out << "assign ack_next = " << _ports_in[i_ack].name << ";\n";
121  }
122  out << "reg started;\n";
123  out << "wire started_next;\n\n";
124 
125  out << "always @(posedge clock 1RESET_EDGE)\n";
126  out << "begin\n";
127  out << " if (1RESET_VALUE)\n";
128  out << " begin\n";
129  out << " started <= 0;\n";
130  out << " end\n";
131  out << " else\n";
132  out << " begin\n";
133  out << " started <= started_next;\n";
134  out << " end\n";
135  out << "end\n\n";
136 
137  out << "assign " << _ports_out[o_out1].name << " = " << _ports_in[i_in2].name << ";\n";
138 
139  if(registered)
140  {
141  out << "assign started_next = (" << _ports_in[i_start].name << " | started) & ~(started & acked);\n";
142  out << "assign " << _ports_out[o_done].name << " = started & acked;\n";
143  }
144  else
145  {
146  out << "assign started_next = (" << _ports_in[i_start].name << " | started) & ~" << _ports_in[i_ack].name
147  << ";\n";
148  out << "assign " << _ports_out[o_done].name << " = (" << _ports_in[i_start].name << " | started) & "
149  << _ports_in[i_ack].name << ";\n";
150  }
151 }
Data structure representing the entire HLS information.
#define STR_CST_interface_parameter_keyword
interface_parameter_keyword
const std::string & get_id() const
Return the identifier associated with the structural_object.
void InternalExec(std::ostream &out, structural_objectRef mod, unsigned int function_id, vertex op_v, const HDLWriter_Language language, const std::vector< ModuleGenerator::parameter > &_p, const std::vector< ModuleGenerator::parameter > &_ports_in, const std::vector< ModuleGenerator::parameter > &_ports_out, const std::vector< ModuleGenerator::parameter > &_ports_inout) final
HDLWriter_Language
#define THROW_UNREACHABLE(str_expr)
helper function used to specify that some points should never be reached
Definition: exceptions.hpp:292
This class writes different HDL based descriptions (VHDL, Verilog, SystemC) starting from a structura...
boost::graph_traits< graph >::vertex_descriptor vertex
vertex definition.
Definition: graph.hpp:1303
This class describes all classes used to represent a structural object.
Write_acknowledgeModuleGenerator(const HLS_managerRef &HLSMgr)
Template borrowed from the ANTLR library by Terence Parr (http://www.jGuru.com - Software rights: htt...
Definition: refcount.hpp:94
constant strings
Wrapper to call graph.
A brief description of the C++ Header File.
#define THROW_ASSERT(cond, str_expr)
helper function used to check an assert and if needed to throw an error in a standard way ...
Definition: exceptions.hpp:289

Generated on Mon Feb 12 2024 13:02:54 for PandA-2024.02 by doxygen 1.8.13