PandA-2024.02
ReadWriteDP_arrayModuleGenerator.cpp
Go to the documentation of this file.
1 /*
2  *
3  * _/_/_/ _/_/ _/ _/ _/_/_/ _/_/
4  * _/ _/ _/ _/ _/_/ _/ _/ _/ _/ _/
5  * _/_/_/ _/_/_/_/ _/ _/_/ _/ _/ _/_/_/_/
6  * _/ _/ _/ _/ _/ _/ _/ _/ _/
7  * _/ _/ _/ _/ _/ _/_/_/ _/ _/
8  *
9  * ***********************************************
10  * PandA Project
11  * URL: http://panda.dei.polimi.it
12  * Politecnico di Milano - DEIB
13  * System Architectures Group
14  * ***********************************************
15  * Copyright (C) 2022-2024 Politecnico di Milano
16  *
17  * This file is part of the PandA framework.
18  *
19  * The PandA framework is free software; you can redistribute it and/or modify
20  * it under the terms of the GNU General Public License as published by
21  * the Free Software Foundation; either version 3 of the License, or
22  * (at your option) any later version.
23  *
24  * This program is distributed in the hope that it will be useful,
25  * but WITHOUT ANY WARRANTY; without even the implied warranty of
26  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
27  * GNU General Public License for more details.
28  *
29  * You should have received a copy of the GNU General Public License
30  * along with this program. If not, see <http://www.gnu.org/licenses/>.
31  *
32  */
48 
49 #include "application_manager.hpp"
50 #include "behavioral_helper.hpp"
51 #include "call_graph_manager.hpp"
52 #include "constant_strings.hpp"
53 #include "function_behavior.hpp"
54 #include "hls_manager.hpp"
55 #include "language_writer.hpp"
56 #include "math_function.hpp"
57 #include "structural_objects.hpp"
58 
59 enum in_port
60 {
61  i_clock = 0,
71 };
72 
74 {
75  o_out1 = 0,
85 };
86 
88 {
89 }
90 
92  unsigned int function_id, vertex /* op_v */,
93  const HDLWriter_Language /* language */,
94  const std::vector<ModuleGenerator::parameter>& /* _p */,
95  const std::vector<ModuleGenerator::parameter>& _ports_in,
96  const std::vector<ModuleGenerator::parameter>& _ports_out,
97  const std::vector<ModuleGenerator::parameter>& /* _ports_inout */)
98 {
99  const auto bundle_name = mod->get_id().substr(0, mod->get_id().find(STR_CST_interface_parameter_keyword));
100  const auto top_fid = HLSMgr->CGetCallGraphManager()->GetRootFunction(function_id);
101  const auto top_fname = HLSMgr->CGetFunctionBehavior(top_fid)->CGetBehavioralHelper()->GetMangledFunctionName();
102  const auto func_arch = HLSMgr->module_arch->GetArchitecture(top_fname);
103  THROW_ASSERT(func_arch, "Expected function architecture for function " + top_fname);
104  const auto arraySize =
105  std::accumulate(func_arch->parms.begin(), func_arch->parms.end(), 0ULL, [&](auto& a, auto& it) {
106  const auto& parm_attrs = it.second;
107  if(parm_attrs.at(FunctionArchitecture::parm_bundle) == bundle_name)
108  {
109  THROW_ASSERT(parm_attrs.find(FunctionArchitecture::parm_elem_count) != parm_attrs.end(), "");
110  return a + std::stoull(parm_attrs.at(FunctionArchitecture::parm_elem_count));
111  }
112  return a;
113  });
114 
115  const auto isAlignedPowerOfTwo = _ports_in[i_in4].alignment == ceil_pow2(_ports_in[i_in4].alignment);
116  const auto addressMaxValue = _ports_in[i_in4].alignment * arraySize - 1U;
117  const auto nbitAddress =
118  addressMaxValue <= 1ULL ? 1U : (64u - static_cast<unsigned>(__builtin_clzll(addressMaxValue)));
119 
120  out << "//" << (isAlignedPowerOfTwo ? "T" : "F") << "\n";
121  out << "assign " << _ports_out[o_ce0].name << " = " << _ports_in[i_start].name << "[0];\n";
122  out << "assign " << _ports_out[o_ce1].name << " = " << _ports_in[i_start].name << "[1];\n";
123 
124  if(isAlignedPowerOfTwo)
125  {
126  out << "assign " << _ports_out[o_address0].name << " = " << _ports_in[i_in4].name << "[BITSIZE_"
127  << _ports_in[i_in4].name << "*0+:" << nbitAddress << "] / " << _ports_in[i_in4].alignment << ";\n";
128  out << "assign " << _ports_out[o_address1].name << " = " << _ports_in[i_in4].name << "[BITSIZE_"
129  << _ports_in[i_in4].name << "*1+:" << nbitAddress << "] / " << _ports_in[i_in4].alignment << ";\n";
130  }
131  else
132  {
133  out << "assign " << _ports_out[o_address0].name << " = " << _ports_in[i_in4].name << "[2+(BITSIZE_"
134  << _ports_in[i_in4].name << ")*0+:" << nbitAddress - 2U << "] / " << _ports_in[i_in4].alignment / 4 << ";\n";
135  out << "assign " << _ports_out[o_address1].name << " = " << _ports_in[i_in4].name << "[2+BITSIZE_"
136  << _ports_in[i_in4].name << "*1+:" << nbitAddress - 2U << "] / " << _ports_in[i_in4].alignment / 4 << ";\n";
137  }
138 
139  if(_ports_in.size() > i_q1)
140  {
141  out << "assign " << _ports_out[o_out1].name << "[BITSIZE_" << _ports_out[o_out1].name << "*0+:BITSIZE_"
142  << _ports_out[o_out1].name << "] = " << _ports_in[i_q0].name << ";\n";
143  out << "assign " << _ports_out[o_out1].name << "[BITSIZE_" << _ports_out[o_out1].name << "*1+:BITSIZE_"
144  << _ports_out[o_out1].name << "] = " << _ports_in[i_q1].name << ";\n";
145  }
146 
147  if(_ports_out.size() > o_d1)
148  {
149  out << "assign " << _ports_out[o_we0].name << " = " << _ports_in[i_start].name << "[0] & (|"
150  << _ports_in[i_in1].name << "[BITSIZE_" << _ports_in[i_in1].name << "*0+:BITSIZE_" << _ports_in[i_in1].name
151  << "]);\n";
152  out << "assign " << _ports_out[o_d0].name << " = " << _ports_in[i_in3].name << "[BITSIZE_"
153  << _ports_in[i_in3].name << "*0+:BITSIZE_" << _ports_in[i_in3].name << "];\n";
154  out << "assign " << _ports_out[o_we1].name << " = " << _ports_in[i_start].name << "[1] & (|"
155  << _ports_in[i_in1].name << "[BITSIZE_" << _ports_in[i_in1].name << "*1+:BITSIZE_" << _ports_in[i_in1].name
156  << "]);\n";
157  out << "assign " << _ports_out[o_d1].name << " = " << _ports_in[i_in3].name << "[BITSIZE_"
158  << _ports_in[i_in3].name << "*1+:BITSIZE_" << _ports_in[i_in3].name << "];\n";
159  }
160 }
Data structure representing the entire HLS information.
#define STR_CST_interface_parameter_keyword
interface_parameter_keyword
const std::string & get_id() const
Return the identifier associated with the structural_object.
Definition of the class representing a generic C application.
mathematical utility function not provided by standard libraries
HDLWriter_Language
This class writes different HDL based descriptions (VHDL, Verilog, SystemC) starting from a structura...
boost::graph_traits< graph >::vertex_descriptor vertex
vertex definition.
Definition: graph.hpp:1303
ReadWriteDP_arrayModuleGenerator(const HLS_managerRef &HLSMgr)
This class describes all classes used to represent a structural object.
Template borrowed from the ANTLR library by Terence Parr (http://www.jGuru.com - Software rights: htt...
Definition: refcount.hpp:94
void InternalExec(std::ostream &out, structural_objectRef mod, unsigned int function_id, vertex op_v, const HDLWriter_Language language, const std::vector< ModuleGenerator::parameter > &_p, const std::vector< ModuleGenerator::parameter > &_ports_in, const std::vector< ModuleGenerator::parameter > &_ports_out, const std::vector< ModuleGenerator::parameter > &_ports_inout) final
constant strings
Wrapper to call graph.
A brief description of the C++ Header File.
#define THROW_ASSERT(cond, str_expr)
helper function used to check an assert and if needed to throw an error in a standard way ...
Definition: exceptions.hpp:289

Generated on Mon Feb 12 2024 13:02:54 for PandA-2024.02 by doxygen 1.8.13